Getty Images
Sourcetoday 2710 Gettyimages 973621542
Sourcetoday 2710 Gettyimages 973621542
Sourcetoday 2710 Gettyimages 973621542
Sourcetoday 2710 Gettyimages 973621542
Sourcetoday 2710 Gettyimages 973621542

Finding Defects In Chips With Machine Learning

March 14, 2019
Finding Defects In Chips With Machine Learning

Chipmakers are using more and different traditional tool types than ever to find killer defects in advanced chips, but they are also turning to complementary solutions like advanced forms of machine learning to help solve the problem.

A subset of artificial intelligence (AI), machine learning has been used in computing and other fields for decades. In fact, early forms of machine learning have been used in metrology and inspection in fabs since the 1990s to pinpoint defects in chips and even predict problems using pattern-matching techniques. Machine learning isn’t a tool or equipment type per se, but rather it’s a set of software algorithms used by the system to help find defects. Now the industry is either exploring or starting to use systems with more advanced machine learning algorithms based on larger data sets. This, in turn, supposedly speeds up the cycles of learning.

This will not replace the traditional methods, at least in the short term. So far, more advanced forms of machine learning are not widely deployed throughout the fab, and some gaps remain. But the industry is making progress as it strives to solve the daunting challenges in defect detection.

Read Full Article Here www.semiengineering.com

Voice your opinion!

To join the conversation, and become an exclusive member of Supply Chain Connect, create an account today!